The $150 Million Machine Keeping Moore’s Law Alive

In 1965, Gordon Moore, an electronics engineer and one of the founders of Intel, wrote an article for the 35th anniversary issue of Electronics, a trade magazine, that included an observation that has since taken on a life of its own. In the article, Moore noted that the number of components on a silicon chip had roughly doubled each year until then, and he predicted the trend would continue.

A decade later, Moore revised his estimate to two years rather than one. The march of Moore’s law has come into question in recent years, although new manufacturing breakthroughs and chip design innovations have kept it roughly on track.

EUV uses some extraordinary engineering to shrink the wavelength of light used to make chips, and it should help continue that streak. The technology will be crucial for making more advanced smartphones and cloud computers, and also for key areas of emerging technology such as artificial intelligence, biotechnology, and robotics. “The death of Moore’s law has been greatly exaggerated,” del Alamos says. “I think it’s going to go on for quite some time.”

Amid the recent chip shortage, triggered by the pandemic’s economic shock waves, ASML’s products have become central to a geopolitical struggle between the US and China, with Washington making it a high priority to block China’s access to the machines. The US government has successfully pressured the Dutch not to grant the export licenses needed to send the machines to China, and ASML says it has shipped none to the country.

“You can’t make leading-edge chips without ASML’s machines,” says Will Hunt, a research analyst at Georgetown University studying the geopolitics of chipmaking. “A lot of it comes down to years and years of tinkering with things and experimenting, and it’s very difficult to get access to that.”

Each component that goes into an EUV machine is “astonishingly sophisticated and extraordinarily complex,” he says.

Making microchips already requires some of the most advanced engineering the world has ever seen. A chip starts out life as a cylindrical chunk of crystalline silicon that is sliced into thin wafers, which are then coated with layers of light-sensitive material and repeatedly exposed to patterned light. The parts of silicon not touched by the light are then chemically etched away to reveal the intricate details of a chip. Each wafer is then chopped up to make lots of individual chips.

Shrinking the components on a chip remains the surest way to squeeze more computational power out of a piece of silicon because electrons pass more efficiently through smaller electronic components, and packing more components into a chip increases its capacity to compute.

Lots of innovations have kept Moore’s law going, including novel chip and component designs. This May, for instance, IBM showed off a new kind of transistor, sandwiched like a ribbon inside silicon, that should allow more components to be packed into a chip without shrinking the resolution of the lithography.

But reducing the wavelength of light used in chip manufacturing has helped drive miniaturization and progress from the 1960s onwards, and it is crucial to the next advance. Machines that use visible light were replaced by those that use near-ultraviolet, which in turn gave way to systems that employ deep-ultraviolet in order to etch ever smaller features into chips.

A consortium of companies including Intel, Motorola, and AMD began studying EUV as the next step in lithography in the 1990s. ASML joined in 1999, and as a leading maker of lithography technology, sought to develop the first EUV machines. Extreme ultraviolet lithography, or EUV for short, allows a much shorter wavelength of light (13.5 nanometers) to be used, compared with deep ultraviolet, the previous lithographic method (193 nanometers).

But it has taken decades to iron out the engineering challenges. Generating EUV light is itself a big problem. ASML’s method involves directing high-power lasers at droplets of tin 50,000 times per second to generate high-intensity light. Lenses absorb EUV frequencies, so the system uses incredibly precise mirrors coated with special materials instead. Inside ASML’s machine, EUV light bounces off several mirrors before passing through the reticle, which moves with nanoscale precision to align the layers on the silicon.

“To tell you the truth, nobody actually wants to use EUV,” says David Kanter, a chip analyst with Real World Technologies. “It’s a mere 20 years late and 10X over budget. But if you want to build very dense structures, it’s the only tool you’ve got.”

Speak Your Mind

LEAVE A REPLY

Please enter your comment!
Please enter your name here

Get in Touch

350FansLike
100FollowersFollow
281FollowersFollow
150FollowersFollow

Recommend for You

Oh hi there 👋
It’s nice to meet you.

Subscribe and receive our weekly newsletter packed with awesome articles that really matters to you!

We don’t spam! Read our privacy policy for more info.

You might also like

Google’s agreement with French publishers an important victory, say...

NEW DELHI: Google's copyright agreements with some French publishers is a significant shift in...

A Hot Rental Market Defines The Hamptons This Summer

Summer rentals are topping $200,000 Getty ...

The Marlins Just Hired the First Female General Manager...

The Miami Marlins and Major League Baseball announced today that Kim Ng, a longtime baseball executive,...

Tony Hsieh, Former CEO Of Zappos, Dead At 46

Topline Tony Hsieh, who recently retired as CEO of online shoe and clothing retailer...